CURRICULUM VITAE

 

NAME: Guang R. Gao

OFFICE ADDRESS:

Department of Electrical Engineering
104 Evans Hall
University
of Delaware
Newark, DE 19716
Tel: 302-831-8218
Fax: 302-831-4316

http://www.capsl.udel.edu

EDUCATION  

·Ph.D         Degree in Electrical Engineering and Computer Science

                            Massachusetts Institutes of Technology, August 1986.
                            Member of Computational Structures Group at Laboratory of Computer Science, MIT,
                            June 1982 to August 1986.

 

·MS      Degree in Electrical Engineering and Computer Science

                            Massachusetts Institutes of Technology, June 1982.

 

·BS   Degree in Electrical Engineering

                            Tsinghua University, Beijing.

PROFESSIONAL EXPERIENCE

·University of Delaware

Newark, DE

Professor, Department of Electrical and Computer Engineering

Founder and a leader of the Computer Architectures and Parallel Systems Laboratory (CAPSL).

 

·McGill University

Montreal, Canada
Associate Professor, School of Computer Science, June 92-August 1999
Assistant Professor, School of Computer Science, Aug. 87-June 92
Founder and a leader of the Advanced Compilers, Architectures and Parallel Systems Group (ACAPS) at McGill since 1988.

 

·Center Of Advanced Studies, IBM Toronto Lab

Aug 1993 - June 1994
Visiting scientist with a NSERC Senior Industrial Fellowship.

 

·Philips Research Laboratories

Sept. 1986 - June 1987
Briarcliff Manor, NY, US
Senior member of research staff of the Computer Architecture and Programming Systems Group. Played a major role in founding a multiprocessor system project, and research in parallelizing compilers.

 

·Massachusetts Institutes of Technolog

June 1980 - Aug. 1986
 Member of the Computational Structures Group at the Laboratory of Computer Science, MIT.
Participated in the MIT Static Dataflow Architecture Project and other projects.
 Proposed a novel methodology of organizing array operations to exploit the fine-grain parallelism of dataflow computation models. Developed a unique pipelined code mapping
scheme for dataflow machines (later known as dataflow software pipelining).

 


CURRENT RESEARCH AREAS:

 

·Computer Architecture and Parallel Systems

·Bioinformatics

·Optimizing and Parallelizing Compilers

 


PROFESSIONAL MEMBERSHIP

 

Senior Member of IEEE, Member of ACM, ACM-SIGARCH, ACM-SIGPLAN.

 

 

 

NATIONAL AND INTERNATIONAL RECOGNITION

 

·IEEE Computer Society Distinguished Visitor, 1998-2001:

·IEEE, Senior Member

 

PROGRAM COMMITTEE MEMBERS OF RECOGNIZED INTERNATIONAL CONFERENCES

 

International Parallel and Distributed Processing Symposium (IPDPS 00,01,02)

IEEE International Symposium on Computer Architecture (HPCA-95, HPCA-99, HPCA-00)

Compilers, Architectures and Synthesis for Embedded Systems (CASES 00,01)

IFIP and ACM SIGARCH International Conference on Parallel Architectures and Compilation Techniques (PACT-94, 95,96,97,98,99,00,01)

ACM Symposium on Programming Language Design and Implementation (PLDI-98)

ACM International Conference on Supercomputing (ICS-95,02)

ACM/IEEE International Symposium on Micro architectures (MICRO-95, 96, 97)

International Parallel Processing Symposium (IPPS-95)

International Conference on Algorithms And Architectures for Parallel  Processing (ICAPP-95)

Parallel Architecture and Language Europe (PARLE-91, 92,93,94,95)

International Conference on Parallel Processing (EURO-PAR-95, 96,01)

Working Conference on Massively Parallel Programming Models (MPPM-93, 95,97, 99)

High Performance Computing Symposium (HPCS-95, 96, 98,99,01,02) Canada.

International Conference on Compiler Construction (CC-98, 99,00), Europe.

International Symposium on High Performance Computing (ISHPC99), Japan.


CONFERENCE COMMITTEE CHAIRMANSHIP

·Compilers, Architectures and Synthesis for Embedded Systems (CASES 00,01)

·Chair of the Third Workshop on Petaflop Computing, Feb. 1999. Annapolis, MD.

·Co-Chair of the Multithreaded Architecture Workshop, in Conjunction to HPCA99, Orlando, Florida, Jan. 1999.

·General Co-Chair of the 1998 International Conference on Parallel Architectures and Compilation Techniques (PACT '98), Oct. 1998, Paris, France., co-sponsored by IFIP and IEEE Computer Society

·Co-Chair of the Compiler and Architecture Support for Embedded Systems (CASES98), Washington D.C., Oct. 1998.

·Program Chairman of the 1994 International Conference on parallel Architectures and Compilation Techniques (PACT '94), Aug. 1984. Montreal, Canada.

 

 

JOURNAL EDITORSHIP

 

·Parallel Processing Letters (2001-)

·Editorial Board of IEEE Transactions on Computers (1998 -)

·Editorial Board of IEEE Concurrency Journal (1997 -00)

·Editorial Board of the Journal on Programming Languages in Jan. 1996, and subsequently became one of the two Co-Editors of the journal (97-98).

·Guest Editor for the Special Issue on IEEE Transaction on Computers,Journal of Parallel and Distributed Computing etc.

 

 

INVITED SEMINARS AND DISTINGUISHED SEMINARS

Given seminars in many industrial and academic organizations:

·IBMT.J. Watson Research Center

·IBM Toronto Lab,

·AT&T Bell Laboratories

·BNR

·HP Labs

·SGI

·DEC

·NRL (Navy Research Lab.)

·MIT

·Stanford

·UC Berkeley

·NYU

·Cornell University

·University of Maryland

·University of Alberta

·University of Victoria just naming a few.

Section A: Teaching and Research Supervision

A.1: TEACHING

A series of new courses have been introduced and taught over years.  The list include topics includes:  

·  Computer Architectures

·  Parallel Computing

·  Parallel and Functional Programming

·  Optimizing and Parallelizing Compilers

·  Discovery Informatics and High-Performance Computing


For a detailed course listing, please see
http://www.capsl.udel.edu/

A.2: RESREARCH SUPERVISION

Current, graduate students under my supervision include

Alban Douillet (compiling for multithreading)

Praveen Thiagarajan (Bioinformatics & Visualization)

Rishi Khan (Computational Biology & HPC)

Robel Kahsay (Computational Biology & HPC)

Juan Cuvillo (Computer Architecture)

Niu, Yanwei (Bioinformatics)

Weirong Zhu (Parallel Systems)

Mihalio Kaplarevic (Computational Biology &HPC)

Fei Chen (Network Processors)

Yuan Zhang (Compilers)

Vishal Karnal (Computer Architecture)

Joseph Manzano (Compilers)

Yingping Zhang (Compilers)

Dimitrij Krepis (Compilers)

Divya Parthasarathi (Computer Architecture)

Levent Yakay (Computer Architecture, on leave)

Stouchinin, Artour (Parallel System, on leave)

 

Current Postdoc fellows under my supervision include:

Dr. Ziang Hu (Compilers)

Dr. Haiping Wu (Compilers)

Dr. Hongbo Rong (Compilers)

Dr. Hirofumi sakane (Computer Architecture, VLSI Design)

Dr. Ted T. Jeong (Computer Architecture)

Already Completed:

The following Graduate students and Post-Docs have already completed their proposed research under me:

PhD Level:

Andres Marquez (1995 - 2004)

Hongbo Yang (1999 - 2003)

Parimala Thulasiram (1995-2000)

Kevin. B. Theobald (1990-1999)

Xinan Tang (1995-1999)

Herbert H. J. Hum (1990-1992)

Erik R. Altman (1991-1996)

Shashank Nemawarkar (1989-1996)

Vugranam C. Sreedhar (1990 - 1995)

Guy Tremblay (1988 - 1994)

Qi Ning (1990 - 1993)

Robert K. Yates (1988 - 1992)

 

MS Level:

Robert Klosiewics (2002 - 2004)

Xing Wang (2001 - 2004)

Weirong Zhu (2001 -2004)

Fei Chen (2001 - 2004)

Inanc Dogru (2002 -2004) 

Tamal Basu (2002 - 2004)

Yan Xie (2001 - 2003)

Chuan Shen (2001 - 2003)

Kapil Khosla (2001 - 2003)

Rishi Kumar (1999-2001)

Praveen Thiagarajan (1999-2001)

Alban Douillet (1999-2001)

Juan. Del. Cuvillo (1999-2001)

Christopher J. Morrone (1999-2001)

Sean Ryan (1999-2001)

Lei Liu (1997-1999)

Cheng Li (1997-1999)

Ian Walkar (1998-1999)

Maria-Dana Tarlescu (1996-1999)

Prasad Kakulavarapu (1996-1999)

Shaohua Han (1996 - 1997)

Hisham J. Petry (1995 - 1997)

Raul Silvera (1996 - 1997)

Hongru Cai (1995 - 1997)

Alberto Jimenez (1993 - 1996)

Shamir Merali (1993 - 1996)

Artour Stouchinin (1994 - 1996)

Renhua Wen (1993 - 1995)

Nasser Elmasri (1992 - 1995)

Chandrika Mukerji (1991 - 1994)

Luis A . Lozano (1992 - 1994)

Cecile Moura (1991 - 1993)

Ravi Shanker (1991-1993)

Russell Olsen (1989 - 1992)

Nematollaah Shiri-Varnaamkhaasti (1990-1992)

A. Emtage (1988 - 1991)

Yue-Bong Wong (1989 - 1991)

Zaharias Paraskevas (1987 - 1989)

Rene Tio (1987- )

Jean Merc. Monti (1989-1991)

 

Postdoc:

Andres Marquez (2004)

Jozsef bukszar (2002 - 2004)

Jizhu Lu (2000 - 2004)

Jianshan Tang (2002 - 2003)

Guoning Liao (1991-1993)

Olivier Maquelin (1994 - 1998)

Ramaswamy Govindarajan (1990-1994)

Xinmin Tian (1993-1996)

Jian Wang (1995 - 1997)

José N. Amaral (1998-2000)

Ruppa Thulasiraman (1998-2000)

Gerd Heber (1997-1999)

Chilong Zhang (1998-1999)

Benoit Dupont de.  Dinechi (1995-1996)

Rongcai Zhao (2000-2001)

Those who have graduated are highly trained in the field of parallel architectures and compilers, as evidenced by the fact that they have been working (or worked) as tenure-track university professors (Ramaswamy Govindarajan, Guy Tremblay, José N Amaral, Parimala Thulasiraman, Ruppa Thulasiraman) as engineers in key industrial sectors, e.g., Intel (Herbert H. J. Hum, Xinmin Tian, Prasad Kakulavarapu, Shaohua Han), Nortel (Jian Wang), IBM (Erik R. Altman, Shashank Nemawarkar, Vugranam C. Sreedhar, Rauls Silvera), BNR (Guoning Liao, Renhua Wen), HP (Luis A. Lozano), Convex (Qi Ning), NCUBE (Russell Olsen), CAE (Nasser Elmasri), AT&T (Hisham J. Petry), Quallcom (Rishi Kumar) and as researchers in government labs, e.g., LLNL (Robert K. Yates), or assuming other professional jobs.

Section B: Scholarship

B.1: RESEARCH ACTIVITY AND INTERESTS

1. Computer Architecture and Parallel Systems

One main question facing modern computer architects is: is it ever possible to build a high-performance parallel architecture combining the power of hundreds, or even thousands, of processors to solve real world applications (regular or irregular) with scalable performance?
Our research interests in computer architecture have been focused on seeking an answer to this challenge. In particular, our primary work has been concentrated on multithreaded program execution models and architectures.

One example is the in the EARTH (Efficient Architecture for Running THreads) project, our focus has been, given the conventional off-the-shell processor technology, how can a multithreaded program execution model and architecture be developed which can exploit fine-grain parallelism and deliver scalable performance with affordable cost. Our current activities include: refinement of the EARTH program execution model and shared-memory architecture support (partially supported via a NSF-MIPS grant joint with USC), study and implementation of EARTH model on a cluster of SMP workstations linked with high-speed networks (via a NSF-CISE infrastructure grant), the study and implementation of a real world large irregular application (the crack propagation) on EARTH platforms (partially supported via a NSF-CISE grant joint with Cornell), and the investigation of compiling techniques for multithreaded architectures (partially supported via a NSF-CISE grant).

We are also interested in high-performance embedded architectures and their software.
For topics and areas in this area see capb.capsl.udel.edu

2.  Bio-Informatics and High Performance Computing

Our long-term research goal is to apply high-performance computing technology to remove road blocks in solving critical problems in bioinfomatics. We recognize that a main challenge is providing biologists with a smooth interactive solution platform for knowledge discovery from large data sets which, unfortunately, are grossly incomplete and have a considerable amount of errors. CAPB consists of researchers with strong computer engineering and computer science backgrounds who are eager to collaborate with researchers from other fields, and are dedicated to finding innovative solutions to meet the above challenges.
For topics and areas in this area see capb.dbi.udel.edu

 

3. Optimizing and Parallelizing Compilers

Under this research area our interests is in system software design (compilers, runtime software, tools) for high-performance architectures. Our research focus includes compiling/runtime techniques for the following architecture models (1) VLIW, superscalar processor architectures with instruction level parallelism (ILP); (2) multithreaded processor architectures (3) multiprocessor architectures. We are interested in both general-purpose as well as embedded systems (including SoCs), and their code optimization for speed, efficiency, power, code size, etc.
For topics and areas in this area see capc.capsl.udel.edu

B.2: LIST OF RESEARCH CONTRIBUTIONS

 The Publications are listed under the following category:

Referred Journal Publications

Referred Conference Publications

Journal and Conference Papers in Submission

Monographs, Books and Book Chapters


Referred Journal Publications   (1990 - Present)

Robel Kahsay, Li Liao , Guang Gao, An Improved Hidden Markov Model for Transmembrane Protein Topology Prediction and Its Applications to Complete Genomes, Bioinformatics accepted, 2004

Robel Kahsay, Guoli Wang, Guang Gao, Li Liao and Roland Dunbrack, Quasi-Consensus based COMParison of profile hidden Markov models for protein sequences, Bioinformatics accepted, 2004

Weirong Zhu, Yanwei Niu, Jizhu Lu, Chuan Shen, and Guang R. Gao, A Cluster-Based Solution for High Performance Hmmpfam Using EARTH Execution Model, International Journal on High Performance Computing and Networking, Accepted to appear in Issue No. 3, September 2004.

Parimala Thulasiraman, Ashfaq A. Khokhar, Gerd Heber, Guang R. Gao, A Fine-Grain Load Adaptive Algorithm of the 2D Discrete Wavelet Transform for Multithreaded Architectures, Journal of Parallel and Distributed Computing (JPDC), Vol.64, No.1, Pages: 68-78, January 2004.

Dong Rui Fan, Hongbo Yang, Gaung R. Gao, and Rong Cai Zhao, Evaluation and Choice of Various Branch Predictors for Low-Power Embedded Processor, Journal of Computer Science and Technology, Vol. 18, No. 6, Pages: 833-838, November, 2003.

Ramaswamy Govindarajan, Hongbo Yang, José N Amaral, Chihong Zhang, and Guang R. Gao, Minimum Register Instruction Sequencing to Reduce Register Spills in Out-of-Order Issue Superscalar Architectures, in IEEE Transactions on Computers, Vol. 52, No. 1, Pages: 4-20, January 2003. 

Parimala Thulasiraman, Kevin B. Theobald, Ashfaq A. Khokhar, and Guang R. Gao, Efficient Multithreaded Algorithms for the Fast Fourier Transform, Parallel and Distributed Computing Practices, Vol. 5, No. 2, Pages: 177-191, 2004.

Guy Tremblay, Christopher J. Morrone, José N. Amaral, and Guang R.Gao, Implementation of the EARTH Programming Model on SMP Clusters: a Multi-Threaded Language and Runtime System, Concurrency and Computation: Practice and Experience, Vol. 15, No. 9, Pages: 821-844, August 2003.

Ramaswamy Govindarajan and Guang R. Gao, Minimizing Buffer Requirements in Rate-Optimal Schedules in Regular Dataflow Networks, Journal of VLSI Signal Processing, Vol. 31, No. 3, Pages: 207-229, Jul 2002.

Ramaswamy Govindarajan, Erik R. Altman, and Guang R. Gao, A Theory for Co-Scheduling Hardware and Software Pipelines in ASIPs and Embedded Processors, Design Automation for Embedded Systems, Vol. 6, No. 3, Pages: 243-275, March 2002.

Robel Y. Kahsay, Nataraj Dongre, Guang R. Gao, Guoli Wang, and Roland L. Dunbrack Jr., CASA: A Server for The Critical Assessment of Sequence Alignment Accuracy, Bioinformatics, Vol. 18, No. 3, Pages: 496-497, March 2002.

Adalberto T. Castelo, Wellington S. Martins, and Guang R. Gao, TROLL--Tandem Repeat Occurrence Locator, Bioinformatics, Vol. 18, No. 4, Pages: 634-636, April 2002.

José N Amaral, Wen-Yen Lin, Jean-Luc Gaudiot, and Guang R. Gao, Exploiting Locality in single Assignment Data Structures Updated through Split Phase Transactions, Cluster Computing, Special issue on Internet Scalability: Advances in Parallel, Distributed and Mobile Systems, Vol. 4, No. 4, Pages: 281-293, October 2001.

Prasad Kakulavarapu, Olivier Maquelin, José N Amaral,  and Guang R. Gao, Dynamic Load Balancers for a Multithreaded Multiprocessor System, Parallel Processing Letters, Vol. 11, No. 1, Pages: 169-184, March 2001.

Guang R. Gao and Vivek Sarkar, Location Consistency-- A New Memory Model and Cache Consistency Protocol, IEEE Transactions on Computers, Vol. 49, No. 8, Pages: 798-813, August 2000.

Xinan Tang and Guang R. Gao, Automatic Partitioning Threads for Multithreaded Architectures, Special Issues on Compilation and Architectural Support for Parallel Applications, Journal of Parallel and Distributed Computing, Vol. 58, No. 2, Pages: 159-189, August 1999.

Walid A. Najjar , Edward A Lee, and Guang R Gao, Advances in the dataflow computational model, Parallel Computing , Vol. 25, No.13 - 14, Pages: 1907 – 1927, 1999.

Vugranam C. Sreedhar, Guang R. Gao, and Yong-Fong Lee, A New Framework for Elimination Based Data Flow Analysis Using DJ Graphs, ACM Transaction on Programming Languages and Systems, Vol. 20, No. 2, Pages 388-435, March 1998.

Erik R. Altman and Guang R. Gao, Optimal Modulo Scheduling Through Enumeration, International Journal on Parallel Programming, Vol. 26, No.2, Pages: 313-344, 1998.

Erik R. Altman, Ramaswamy Govindarajan, and Guang R. Gao, A Unified Framework for Instruction Scheduling and Mapping for Function Units with Structural Hazards, Journal of Parallel and Distributed Computing, Vol. 49, No. 2, Pages: 259-293, 1998.

Vugranam C. Sreedhar, Guang R. Gao, and Yong-fong Lee, Incremental computation of dominator trees, ACM Transactions on Programming Languages and Systems, Vol. 19, No. 2, Pages: 239-252, March 1997.

Vugranam C. Sreedhar, Guang R. Gao, and Yongfong Lee, A quadratic time algorithm for computing multiple node immediate dominators, Journal of Programming Languages, 1996.

Ramaswamy Govindarajan, Erik R. Altman, and Guang R. Gao. A framework for resource-constrained rate-optimal software pipelining, IEEE Transactions on Parallel and Distributed Systems, Vol. 7, No. 11, Pages: 1133-1149, November 1996.

Herbert H. J. Hum, Olivier Maquelin, Kevin B. Theobald, Xinmin Tian, Guang R. Gao, and Laurie J. Hendren, A study of the EARTH-MANNA multithreaded system, International Journal of Parallel Programming, Vol. 24, No. 4, Page 319-347, August 1996.

Vugranam Sreedhar, Guang R. Gao, and Yongfong Lee, Identifying loops using dj graphs, ACM Transactions on Programming Languages and Systems (TOPLAS), Vol. 18, No. 6, Pages: 649 – 658, November 1996.

Vugranam C. Sreedhar and Guang R. Gao, A linear time algorithm for placing OE-nodes, Journal of Programming Languages, 1995. Accepted.

Qi Ning, Vincent V. Dongen, and Guang R. Gao, Automatic data and computation decomposition for distributed memory machines, Parallel Processing Letters, Vol. 5, No. 4, Pages: 539-550, April 1995.

Vugranam C. Sreedhar and Guang R. Gao, Computing OE-nodes in linear time using DJ-graphs, Journal of Programming Languages, Vol. 3, Pages: 191-213, April 1995.

Eshrat Arjomandi, William O'Farrell, Ivan Kalas,Gita Koblents, Frank Ch. Eigler, and Guang. R. Gao, ABC++: Concurrency by inheritance in C++, IBM Systems Journal, Vol. 34, No. 1, Pages: 120-137, 1995.

Ramaswamy Govindarajan and Guang R. Gao, Rate-optimal schedule for multi-rate DSP computations, Journal of VLSI Signal Processing, Vol. 9, No.3, Pages: 211-232, April 1995 .

Guang. R. Gao, An efficient hybrid dataflow architecture model, Journal of Parallel and Distributed Computing, Vol. 19, No. 4, Pages: 293-307, December 1993.

Laurie J. Hendren, Guang R. Gao, Erik R. Altman, and Chandrika Mukerji, A register allocation framework based on hierarchical cyclic interval graphs, The Journal of Programming Languages, Vol. 1, No. 3, Pages: 155-185, 1993.

Qi Ning and Guang R. Gao, Optimal loop storage allocation for argument-fetching dataflow machines, International Journal of Parallel Programming, Vol. 21, No. 6, Pages: 421-448, December 1992.

Herbert H. J. Hum and Guang. R. Gao, A high-speed memory organization for hybrid dataflow/von Neumann computing, Future Generation Computer Systems, Vol. 8, Pages: 287-301, 1992.

Guang. R. Gao, Herbert H. J. Hum, and Yue-Bong Wong, Toward efficient fine-grain software pipelining and the limited balancing techniques, International Journal of Mini and Microcomputers, Vol. 13, No. 2, Pages: 57-68, 1991.

Guang R. Gao, Exploiting fine-grain parallelism on dataflow architectures, Parallel Computing, Vol. 13, No. 3, Pages: 309-320, March 1990.

Referred Conference Publications (1992 - Present)

I have more than 100 publications in refereed conferences. Due to space limitations only a few are listed. The rest can be provided by request.

Juan del Cuvillo, Weirong Zhu, Ziang Hu, Guang R. Gao, TiNy Threads: a Thread Virtual Machine for the Cyclops64 Cellular Architecture, The 19th International Parallel & Distributed Processing System, April 3-8, 2005 in Denver, Colorado

Weirong Zhu, Yanwei Niu and Guang R. Gao, Performance Portability on EARTH: A Case Study across Several Parallel Architectures, The 4th International Workshop on Performance Modeling,Evaluation, and Optimization of Parallel and Distributed Systems(PMEO-PDS'05), conjuncted with IPDPS 2005, April 4 – 8, 2005 in Denver, Colorado, USA

Yuan Zhang, Weirong Zhou, Fei Chen, Ziang Hu, Guang R. Gao, Sequential Consistency Revisit:The Sufficient Condition and Method to Reason The Consistency Model of a Multiprocessor-On-A-Chip Architecture, The Twenty-Third IASTED International Conference on Parallel and Distributed Computing and Networks (PDCN 2005) Innsbruck, Austria, February 15 – 17, 2005

P. Thiagarajan, P. Chen, K. Steiner, G. Gao and K. Barner, Segmenting Deformable Surface Models Using Haptic Feedback, In Proceedings of Medicine Meets Virtual Reality, Jan 12, 2005.

Kahsay, R., Liao, L., Gao, Guang R., An Improved Hidden Markov Model for Transmembrane Protein Topology Prediction. ICTAI`04 (16th IEEE International Conference on Tools with Artificial Intelligence), Boca Raton, FL, USA, Nov, 2004.

Arthur Stoutchinin and Guang R. Gao, If-Conversion in SSA Form, Euro-Par 2004, Pisa, Italy, Aug. 31 – Sept. 3, 2004

Yanwei Niu, Ziang Hu and, Guang R. Gao, Parallel Reconstruction for Parallel Imaging SPACE RIP on Cellular Computer Architecture, The 16th IASTED International Conference on PARALLEL AND DISTRIBUTED COMPUTING AND SYSTEMS (PDCS 2004), Cambridge, MA, USA, November  9-11, 2004.

Fei Chen, Kevin B. Theobald, and Guang R. Gao.  Implementing Parallel Conjugate Gradient on the EARTH Multithreaded Architecture, CLUSTER 2004, San Diego, CA, September, 2004.

Hongbo Rong, Zhizhong Tang, R.Govindarajan, Alban Douillet, and Guang R.Gao, Single-Dimension Software Pipelining for Multi-Dimensional Loops,  Proceedings of the 2004 International Symposium on Code Generation and Optimization with Special Emphasis on Feedback-Directed and Runtime Optimization (CGO-2004), Pages: 163-174, Palo Alto, California, March 20-24, 2004.

Hongbo Rong, Alban Douillet, R. Govindarajan, and Guang R.Gao, Code Generation for Single-Dimension Software Pipelining  of Multi-Dimensional Loops, Proceedings of the 2004 International Symposium on Code Generation and Optimization with Special Emphasis on Feedback-Directed and Runtime Optimization (CGO-2004), Pages: 175-186, San Jose, California, March 20-24, 2004.

Hirofumi Sakane, Levent Yakay, Vishal Karna, Clement Leung and Guang R. Gao, DIMES: An Iterative Emulation Platform for Multiprocessor-System-on-Chip Designs, Proceedings of the IEEE International Conference on Field-Programmable Technology (ICFTP'03), Pages: 244-251, Tokyo, Japan, December 15-17, 2003.

Ziang Hu, Yan Xie, Ramaswamy Govindarajan, and Guang R. Gao, Code size oriented memory allocation for temporary variables, Proceedings of the Fifth Workshop on Media and Streaming Processors (MSP-5/MICRO-36), San Diego, California, December 1, 2003.

Weirong Zhu, Yanwei Niu, Jizhu Lu, Chuan Shen, and Guang R. Gao, A Cluster-Based Solution for High Performance Hmmpfam Using EARTH Execution Model, Proceedings of the Fifth IEEE International Conference on Cluster Computing (CLUSTER2003), Pages: 30-37, Hong Kong, P.R. China, December, 2003.

Ziang Hu, Yuan Zhang, Hongbo Yang and Guang. R. Gao, Code Size Reduction with Global Code Motion, Workshop on Compilers and Tools for Constrained Embedded Systems (CTCES/CASES) 2003, San Jose, California, Oct. 29, 2003.

Juan del Cuvillo, Xinmin Tian, Guang R. Gao, and Milind Girkar, Performance Study of a Whole Genome Comparison Tool on a Hyper-Threading Multiprocessor, Proceedings of the Fifth International Symposium on High Performance Computing, Pages: 450-457, Tokyo, Japan, October 20-22, 2003.

Andres Marquez and Guang R. Gao, CARE: Overview of an Adaptive Multithreaded Architecture, Proceedings of the Fifth International Symposium on High Performance Computing, Pages: 26-38, Tokyo, Japan, October 20-22, 2003.

Hongbo Yang, Ramaswamy Govindarajan, Guang R. Gao and Ziang Hu, Compiler-Assisted Cache Replacement: Problem Formulation and Performance Evaluation, Proceedings of the 16th International Workshop on Languages and Compilers for Parallel Computing(LCPC'03), Pages: 77-92, College Station, Texas, October, 2003.

Liu Yang, Sun Chan, Guang R. Gao, Roy Ju, Guei-Yuan Lueh, and Zhaoqing Zhang, Inter-Procedural Stacked Register Allocation for Itanium Like Architecture, Proceedings of the 17th Annual International Conference on Supercomputing, Pages: 215-225, San Francisco, CA, USA, June 23-26, 2003.

Adeline Jacquet, Vincent Janot,Clement Leung, Guang R. Gao, Ramaswamy Govindarajan, and Thomas L. Sterling, An Executable Analytical Performance Evaluation Approach for Early Performance Prediction, Proceedings of the International Parallel and Distributed Processing Symposium (IPDPS'03), Nice, France, April 22 - 26, 2003.

Guang R. Gao, Kevin B. Theobald, Ramaswamy Govindarajan, Clement Leung, Ziang Hu, Haiping Wu, Jizhu Lu, Juan del Cuvillo, Adeline Jacquet, Vincent Janot, and Thomas L. Sterling, Programming Models and System Software for Future High-End Computing Systems: Work-in-Progress, Proceedings of the International Parallel and Distributed Processing Symposium (IPDPS'03), Nice, France, April 22 - 26, 2003.

Praveen Thiagarajan and Guang R Gao, Visualizing Biosequence data using Texture Mapping, IEEE Symposium on Information Visualization (InfoVis 2002), Pages: 103-109, Boston Massachusetts, October 28-29, 2002.

Hongbo Yang, Guang R. Gao, and Clement Leung, On Achieving Balanced Power Consumption in Software Pipelined Loops, Proceedings of the 2002 International Conference on Compilers, Architecture and Synthesis for Embedded Systems(CASES), Grenoble, France, Oct 8-11, 2002.

Hongbo Yang, Ramaswamy Govindarajan, Guang R. Gao, George Cai and Ziang Hu, Exploiting Schedule Slacks for Rate-Optimal Power-Minimum Software Pipelining, Proceedings of the 3rd Workshop on Compilers and Operating Systems for Low Power (COLP'02), Conjunction with The 11th International Conference on Parallel Architecture and Compilation Techniques (PACT'02), Charlottesville, Virginia, Sept 22 - 25, 2002.

Hongbo Yang, Ramaswamy Govindarajan, Guang R. Gao, and Kevin B. Theobald, Power-Performance Trade-offs for Energy-Efficient Architectures: A Quantitative Study, Proceedings of the 20th International Conference on Computer Design(ICCD), Freiburg, Germany, September 16-18, 2002.

Javier Garcia-Frias, Yujing Zeng, Jianshan Tang, and Guang R Gao, An Adaptive Meta-Clustering Approach: Combining the Information from Different Clustering Results, Proceedings of the IEEE Computer Society Bioinformatics Conference (CSB’02), Stanford, California,  August 14 - 16, 2002

Rishi Kumar, Gagan Agrawal, and Guang R. Gao, Compiling several classes of Communication Patterns on a Multithreaded Architecture, Proceedings of the International Parallel and Distributed Processing Symposium (IPDPS’02), Fort Lauderdale, California, April 15 - 19, 2002

Hongbo Yang, Guang R.Gao, Andres Marquez, George Cai, and Ziang Hu, Power and Energy Impact by Loop Transformations, Proceedings of the Workshop on Compilers and Operating Systems for Low Power (COLP) 2001, held in conjunction with Parallel Architecture and Compilation Techniques (PACT) 2001, Barcelona, SPAIN, Sept 8 - 12, 2001.

Wellington S. Martins, Juan del Cuvillo, Wenwu Cui, and Guang R Gao, Whole Genome Alignment using a Multithreaded Parallel Implementation, Proceedings of the 13th Symposium on Computer Architecture and High Performance Computing, Pirenopolis, Pages: 1-8, Brazil, September 10-12, 2001

Christopher J. Morrone, José N Amaral, Guy Tremblay, and Guang R. Gao, A Multi-Threaded Runtime System for a Multi-Processor/Multi-Node Cluster, Proceedings of the 15th Annual International Symposium on High Performance Computing Systems and Applications, Windsor, ON, Canada, June 18-20, 2001

Ruppa K. Thulasiram, Lybomir Litov, Hassan Nojumi, Chris Downing, and Guang R. Gao, Multithreaded Algorithms for Pricing a Class of Complex Options,  Proceedings of the 15th International Parallel and Distributed Processing Symposium, Page: 18, San Francisco, CA, April 23 - 27, 2001.

Ramaswamy Govindarajan, Hongbo Yang, José N. Amaral, Chihong Zhang and Guang R. Gao, Minimum Register Instruction Sequence Problem: Revisiting Optimal Code Generation for DAGs, Proceedings of the 15th International Parallel and Distributed Processing Symposium, Page: 26 San Francisco, April 23-27, 2001.

Juan Del Cuvillo, Wellington S. Martins, Guang R Gao, Wenwu Cui and Sun Kim, ATGC -Another Tool for Genome Comparison, Currents in Computational Molecular Biology 2001, Pages: 13-14, Montreal, April 22 - 25, 2001.

Artour Stoutchinin, José N Amaral, Guang R. Gao, Jim Dehnert, Suneel Jain, Alban Douillet, Speculative Prefetching of Induction Pointers, Proceedings of the 10th International Conference on Compiler Construction (with ETAPS 2001), Pages: 289-303, Genova, Italy, April 2 - 6 , 2001.

Francisco Jose Useche, M. Morgante, M. Hanafey, Scott Tingey, Wellington S. Martins, Guang R Gao, Antoni Rafalski, Computer Detection of Single Nucleotide Polymorphisms (SNPs) in Maize ESTs, Plant & Animal Genome IX Conference, San Diego, CA. January 13 – 17, 2001.

Wellington S. Martins, Juan del Cuvillo, Francisco Jose Useche, Kevin B. Theobald, and Guang R. Gao, A Multithreaded Parallel Implementation of a Dynamic Programming Algorithm for Sequence Comparison, Proceedings of the 6th Pacific Symposium on Biocomputing (PSB 2001), Pages 311-322, Mauna Lani, Hawaii, January 3 - 7, 2001

Kevin B. Theobald, Gagan Agrawal, Rishi Kumar, Gerd Heber, Guang R. Gao, Paul Stodghill, and Keshav Pingali, Landing CG on EARTH: A Case Study of Fine-Grained Multithreading on an Evolutionary Path, Proceedings of SC2000: High Performance Networking and Computing, Dallas, Texas, November 4 - 10, 2000

José N. Amaral, Guang R. Gao, Erturk Dogan Kocalar, Patrick O'Neill, Xinan Tang, Design and Implementation of an Efficient Thread Partitioning Algorithm, Proceedings of the 3rd International Symposium on High Performance Computing, Pages: 252-259, Kyoto, Japan, October 2000.

Kevin B. Theobald, Rishi Kumar, Gagan Agrawal, Gerd Heber, Ruppa K. Thulasiram and Guang R. Gao, Developing a Communication Intensive Application on EARTH Multithreaded Architecture, A Distinguished Paper in the Proceedings of Euro-Par 2000, Pages: 625-637, Munchen, Germany, August 2000.

Ruppa K. Thulasiram, Christopher Downing, and Guang R. Gao, Recursive and Iterative Multithreaded Algorithms for Pricing American Securities, Proceedings of the International Conference on Parallel and Distributed Processing Techniques and Applications, Pages:1571-1577, Las Vegas , June 26-29, 2000.

Stanley D. Luck, Francisco Jose Useche, Wellington S. Martins, and Guang R. Gao, New Normalization Method and Error Analysis for Gene Statement Microarray Data, CAPSL Technical Memo, Department of Electrical and Computer Engineering, University of Delaware, Newark, DE, US, June 28, 2000.

Ramaswamy Govindarajan, Erik R. Altman, and Guang R. Gao, A Theory for Software-Hardware Co-Scheduling for ASIPs and Embedded Processors, Proceedings of the IEEE International Conference on Application-Specific Systems, Architectures and Processors (ASAP'2000), Pages: 329-339, Boston, MA, July 10 - 12, 2000.

Parimala Thulasiraman, Kevin B Theobald, Ashfaq A. Khokhar, and Guang R. Gao, Multithreaded Algorithms for the Fast Fourier Transform, Proceedings of the 12th Symposium on Parallel Algorithms and Architectures (SPAA), Pages 176-185, Bar Harbor, ME, June 2000.

Ruppa K. Thulasiram, Christopher Downing and Guang R. Gao, A Multithreaded Parallel algorithm for pricing American Securities, Proceedings (CD-RoM) of the Computational Finance 2000 Conference, London, UK, May/June, 2000.

Wen-Yen Lin, José N. Amaral, Jean-Luc Gaudiot, and Guang R. Gao, Caching Single-Assignment Structures to Build a Robust Fine-Grain Multi-Threading System, Proceedings of the International Parallel and Distributed Processing Symposium, Pages: 589-594, Cancun, Mexico, May 1-5, 2000.

Wen-Yen Lin, Jean-Luc Gaudiot, José N Amaral, and Guang R. Gao, Do Software Caches Work? Performance Analysis of the I-Structure Software Cache on Multi Threading Systems, Proceedings of the 19th IEEE International Performance, Computing, and Communications Conference (IPCCC 2000), Pages: 83-89, Phoenix, Arizona, February, 2000.

Prasad Kakulavarapu, Christopher J. Morrone, Kevin B. Theobald, José N Amaral, and Guang R. Gao, A Comparative Performance Study of Fine-Grain Multi-threading on Distributed Memory Machines, Proceedings of the 9th IEEE International Performance, Computing, and Communications Conference - IPCCC2000, Pages: 590-596, Phoenix, Arizona, February, 2000.

Sean Ryan, José N. Amaral, Guang R. Gao, Zachary Ruiz, Andres Marquez, and Kevin B. Theobald, Coping with Very High Latencies in Petaflop Computer Systems, Proceedings of the 2nd International Symposium on High Performance Computing, Pages: 71-82, Kyoto, Japan, May 1999.

Gerd Heber, Rupak Biswas, and Guang R. Gao, Self-Adaptive Walks over Adaptive Unstructured Grids, Proceedings of Irregular ’99, in conjunction with the International Parallel Processing Symposium (IPPS/SPDP), Pages: 969-977, San Juan, Puerto Rico, April 12-16, 1999.

Gerd Heber, Rupak Biswas, Parimala Thulasiram and Guang R. Gao, Using Multithreading for Automatic Load Balancing of Adaptive Finite Element Meshes, Proceedings of Irregular ’99, in conjunction with the International Parallel Processing Symposium (IPPS/SPDP), Pages: 969-977, San Juan, Puerto Rico, April 12-16, 1999.

Ashfaq A. Khokhar, Gerd Heber, Parimala Thulasiraman and Guang R. Gao, Load Adaptive Algorithms and Implementation for the 2D Discrete Wavelet Transform on Fine-Grain Multithreaded Architectures, Proceedings of the 13th International Parallel Processing Symposium (IPPS/SPDP), Pages: 458-462, San Juan, Puerto Rico, April 12-16, 1999.

Gerd Heber, Rupak Biswas, and Guang R. Gao, Self-Avoiding Walks over Adaptive Triangular Grids, Proceedings of the 9th SIAM Parallel Processing Conference for Scientific Computing, San Antonio, Texas, April, 1999.

Chihong Zhang, Ramaswamy Govindarajan, and Guang R. Gao, Efficient State-Diagram Construction Methods for Software Pipelining, Proceedings of the 8th International Conference on Compiler Construction (CC'99), held as part of ETAPS'99, Amsterdam, The Netherlands, March 22 - 26, 1999.

Kevin B Theobald, Guang R. Gao, and Thomas L. Sterling, Superconducting Processors for HTMT: Issues and Challenges, Proceedings of The 7th Symposium on The Frontiers of Massively Parallel Computation (Frontiers’99), Pages: 260-267, Annapolis, Maryland, February 21-25, 1999.

José N. Amaral, Guang R. Gao, Phillip Merkey, Thomas Sterling, Zachary Ruiz, and Sean Ryan, Performance Prediction for the HTMT: A Programming Example, Proceedings of the 3rd PetaFLOPS Workshop 3 , Pages: 25-31, Annapolis, Maryland, February 22, 1999

Haiying Cai, Olivier Maquelin, Prasad Kakulavarapu, and Guang R. Gao, Design and Evaluation of Dynamic Load Balancing Schemes under a Fine-Grain Multithreaded Execution Model, Proceedings of the Workshop on Multithreaded Execution, Architecture and Compilation (MTEAC), in conjunction with the 1999 IEEE Symposium on High-Performance Computer Architecture (HPCA99), Orlando, Florida, January, 1999.

Andres Marquez, Kevin B. Theobald, Xinan Tang and Guang R. Gao, The Superstrand Model, Proceedings of the Workshop on Multithreaded Execution, Architecture and Compilation (MTEAC), in conjunction to the 1999 IEEE Symposium on High-Performance Computer Architecture (HPCA99), Orlando, Florida, January, 1999.

Xinan Tang and Guang R. Gao, How "Hard" is Thread Partitioning and How "Bad" is a List Scheduling Based Partitioning Algorithm, Proceedings of 10th Annual ACM Symposium on Parallel Algorithms and Architectures, Puerto Vallarta, Mexico, Pages: 130-139, June 1998

Sylvain Lelait, Guang R. Gao, and Christine Eisenbeis, A New Fast Algorithm for Optimal Register Allocation in Modulo Scheduled Loops, Proceedings of the 7th International Conference on Compiler Construction, CC'98, held as part of ETAPS'98, 1998, Kai Koskimies, Vol. 1383, Lecture Notes in Computer Science, Pages: 204-218, Springer, Lisbon, Portugal, March 28 – April 4, 1998.

Ramaswamy Govindarajan, Narasimha Rao, Erik R. Altman, and Guang R. Gao, An Enhanced Co-Scheduling Method using Reduced MS-State Diagrams, Proceedings of the 12th International Parallel Processing Symposium (IPPS/SPDP), Pages: 168-175, Orlando, Florida, April 1998.

Rauls Silvera, Jian Wang, Guang R. Gao and Ramaswamy Govindarajan,  A Register Pressure Sensitive Instruction Scheduler for Dynamic Issue Processors, Proceedings of the International Conference on Parallel Architecture and Compilation Techniques (PACT'97), San Francisco, CA, Nov. 1997.

Xinan Tang, Rakesh Ghiya, Laurie J. Hendren, and Guang R. Gao, Heap Analysis and Optimizations for Threaded Programs, Proceedings of the International Conference on Parallel Architecture and Compilation Techniques (PACT'97), Pages: 14-25, San Francisco, CA, Nov. 1997.

Maria-Dana Tarlescu, Kevin B. Theobald, and Guang R. Gao, Elastic History Buffer: A Low Cost Method to Improve Branch Prediction Accuracy, Proceedings of the International Conference on Computer Design (ICCD'97), Pages: 82-87, Austin, TX, Oct. 1997.

Xinan Tang, Jian Wang, Kevin B Theobald, and Guang R. Gao, Thread Partition and Schedule Based on Cost Model, Proceedings of the 9th Annual Symposium on Parallel Algorithms and Architectures (SPAA), Pages: 272-281, Newport, RI, July 22, 1997.

Angela Sodan, Guang R. Gao, Olivier Maquelin, Jens-Uwe Schultz, and Xin-Min Tian, Experience with Non-numeric Applications on Multithreaded Architectures, Proceedings of the 6th ACM SIGPLAN Symposium on Principles and Practice of Parallel Programming, Las Vegas, NV, Pages: 124-135, June 1997

Shashank Nemawarkar and Guang R. Gao, Latency tolerance: A Metric for Performance Analysis of Multithreaded Architecture. Proceedings of the 11th International Parallel Processing Symposium, Pages: 227-232, Geneva, Switzerland, Apr. 1997.

Parimala Thulasiraman, Xinmin Tian, and Guang R. Gao, Multithreading implementation of a distributed shortest path algorithm on earth multiprocessor. Proceedings of the International Conference on High Performance Computing, Trivandrum, India, Pages: 336-341, December 1996.

Xinmin Tian, Shashank Nemawarkar, Guang R. Gao, et al., Quantitative studies of data locality sensitivity on the EARTH multithreaded architecture: Preliminary results, Proceedings of the International Conference on High Performance Computing, Trivandrum, India, Pages: 362-367, December 1996.

Guang R. Gao, Konstantin K. Likharev, Paul C. Messina, and Thomas L. Sterling, Hybrid technology multi-threaded architecture, Proceedings of Frontiers '96: The Sixth Symposium on the Frontiers of Massively Parallel Computation, Pages: 98-105, Annapolis, Maryland, October 1996.

Laurie J. Hendren, Xinan Tang, Yingchun Zhu, Guang R. Gao, Xun Xue, Haiying Cai, and Pierre Ouellet, Compiling C for the EARTH multithreaded architecture, Proceedings of the 1996 Conference on Parallel Architectures and Compilation Techniques (PACT '96), Pages: 12-23, Boston, Massachusetts, IEEE Computer Society Press, October 1996.

Erik R. Altman and Guang R. Gao, Optimal software pipelining through enumeration of schedules, Proceedings of Euro-Par'96, Pages: 833-840, Lyon, France, August 1996.

Vivek Sarkar, Guang R. Gao, and Shaohua Han, Data locality analysis for distributed shared memory multiprocessors, Proceedings of the Ninth Workshop on Languages and Compilers for Parallel Computing, San Jose, California, August 1996.

Olivier Maquelin, Guang R. Gao, Herbert H. J. Hum, Kevin B. Theobald, and Xinmin Tian, Polling Watchdog: Combining polling and interrupts for efficient message handling, Proceedings of the 23rd Annual International Symposium on Computer Architecture, pages 178-188, Philadelphia, Pennsylvania, May 1996.

John C. Ruttenberg, Guang R. Gao, Artour Stouchinin, and Woody Lichtenstein,  Software pipelining showdown: Optimal vs. heuristic methods in a production compiler, Proceedings of the ACM SIGPLAN '96 Conference on Programming Language Design and Implementation, Pages: 1-11, Philadelphia, Pennsylvania, May 1996.

Vugranam C. Sreedhar, Guang R. Gao, and Yongfong Lee, A new framework for exhaustive and incremental data flow analysis using DJ graphs, Proceedings of the ACM SIGPLAN '96 Conference on Programming Language Design and Implementation, pages 278-290, Philadelphia, Pennsylvania, May 1996.

Jian Wang and Guang R. Gao, Pipelining-dovetailing: A transformation to enhance software pipelining for nested loops,  Proceedings of the 6th International Conference on Compiler Construction, Lecture Notes in Computer Science, Linkoping, Sweden, Springer-Verlag, April 1996.

Ramaswamy Govindarajan, Erik R. Altman, and Guang R. Gao, Co-scheduling hardware and software pipelines, Second International Symposium on High-Performance Computer Architecture, San Jose, California, February 1996.

Shashank Nemawarkar and Guang R. Gao, Measurement and modeling of ARTH-MANNA multithreaded architecture. Proceedings of the Fourth International Workshop on Modeling, Analysis and Simulation of Computer and Telecommunication Systems, pages 109-114, San Jose, California, IEEE Computer Society TCCA and TCS, February 1996.

Ramaswamy Govindarajan, Erik R. Altman, and Guang R. Gao, Instruction scheduling in the presence of structural hazards: An integer programming approach to software pipeline, Proceedings of the international Conference on High Performance Computing, Goa, India, December 1995.

Luis A. Lozano C. and Guang R. Gao, Exploiting short-lived variables in superscalar processors, Proceedings of the 28th Annual International Symposium on Microarchitecture, pages 292-302, Ann Arbor, Michigan, November - December 1995.

Jack B. Dennis and Guang R. Gao, On memory models and cache management for shared-memory multi-processors, Proceedings of Seventh IEEE International Symposium on Parallel and Distributed Processing. IEEE, October 1995.

Olivier Maquelin, Herbert H. J. Hum, and Guang R. Gao, Costs and benefits of multithreading with off-the-shelf RISC processors, Proceedings of the First International EURO-PAR Conference, number 966 in Lecture Notes in Computer Science, Pages: 117-128, Stockholm, Sweden, Springer-Verlag,  August 1995.

Renhua Wen, Guang R. Gao, and Vincent V. Dongen, The design and implementation of the accurate array data-flow analysis in the HPC compiler, Proceedings of High Performance Computing Symposium '95, Canada's Ninth Annual International High Performance Computing Conference and Exhibition, pages 144-155, Montreal, Quebec, Centre de recherche informatique de Montreal, July 1995.

Nasser Elmasri, Herbert H. J. Hum, and Guang R. Gao, The Threaded Communication Library: Preliminary experiences on a multiprocessor with dual-processor nodes. Conference Proceedings, 1995 International Conference on Supercomputing, Pages: 195-199, Barcelona, Spain, July 1995.

Erik R. Altman, Ramaswamy Govindarajan, and Guang R. Gao, An experimental study of an ILP-based exact solution method for software pipelining, Proceedings of the 8th International Workshop on Languages and Compilers for Parallel Computing, Lecture Notes in Computer Science, Pages: 2.1 - 2.15, Columbus, Ohio, Springer-Verlag, August 1995.

Guang R. Gao and Vivek Sarkar, Location consistency: Stepping beyond the memory coherence barrier, 24th International Conference on Parallel Processing, Pages: II-73 - II-76, University Park, Pennsylvania, August 1995.

Herbert H. J. Hum, Olivier Maquelin, Kevin B. Theobald, Xinmin Tian, Xinan Tang, Guang R. Gao, Phil Cupryk, Nasser Elmasri, Laurie J. Hendren, Alberto Jimenez, Shoba Krishnan, Andres Marquez, Shamir Merali, Shashank Nemawarkar, Prakash Panangaden, Xun Xue, and Yingchun Zhu, A design study of the EARTH multiprocessor, Proceedings of the IFIP WG 10.3 Working Conference on Parallel Architectures and Compilation Techniques, PACT '95, pages 59-68, Limassol, Cyprus, ACM Press, June 1995.

Erik R. Altman, Ramaswamy Govindarajan, and Guang R. Gao, Scheduling and mapping: Software pipelining in the presence of structural hazards, ACM SIGPLAN Symposium on Programming Language Design and Implementation, Page 139-150, June 1995.

Guy Tremblay and Guang R. Gao, The impact of laziness on parallelism and the limits of strictness analysis, Proceedings of the High Performance Functional Computing Conference, Pages: 119- 133, Denver, Colorado, Lawrence Livermore National Laboratory. CONF-9504126, April 1995.

Vugranam C. Sreedhar, Guang R. Gao, and Yong fong Lee, Incremental computation of dominator trees, Proceedings of the ACM SIGPLAN Workshop on Intermediate Representations (IR'95), Pages: 1-12, San Francisco, California, January 22, 1995. SIGPLAN Notices, 30(3), March 1995.

Vugranam C. Sreedhar and Guang R. Gao, A linear time algorithm for placing OE-nodes, Conference Record of the 22nd ACM SIGPLAN-SIGACT Symposium on Principles of Programming Languages, Pages 62 - 73, San Francisco, California, January 1995.

Kevin B. Theobald, Herbert H. J. Hum, and Guang R. Gao, A design framework for hybrid-access caches. Proceedings of the First International Symposium on High-Performance Computer Architecture, Pages: 144 - 153, Raleigh, North Carolina, January 1995.

Ramaswamy Govindarajan, Erik R. Altman, and Guang R. Gao, Minimizing register requirements under resource-constrained rate-optimal software pipelining, Proceedings of the 27th Annual International Symposium on Microarchitecture, Pages: 85 - 94, San Jose, California, November-December 1994.

Ramaswamy Govindarajan, Erik R. Altman, and Guang R. Gao, A framework for resource-constrained rate optimal software pipelining, Proceedings of the Third Joint International Conference on Vector and Parallel Processing (CONPAR 94 - VAPP VI), number 854 in Lecture Notes in Computer Science, Pages: 640 - 651, Linz, Austria, Springer-Verlag, September 1994.

Ramaswamy Govindarajan, Guang R. Gao, and Palash Desai, Minimizing memory requirements in rate optimal schedules, Proceedings of the 1994 International Conference on Application Specific Array Processors, Pages: 75-86, San Francisco, California, IEEE Computer Society, August 1994.

Shashank Nemawarkar, Ramaswamy Govindarajan, Guang R. Gao, and Vinod K. Agarwal, Performance of interconnection network in multithreaded architectures, Proceedings of PARLE '94 - Parallel Architectures and Languages Europe, number 817 in Lecture Notes in Computer Science, Pages: 823-826, Athens, Greece, Springer-Verlag, July 1994.

Vincent Van Dongen, Christophe Bonello, and Guang R. Gao, Data parallelism with High Performance C, Proceedings of Supercomputing Symposium ‘94, Canada’s Eighth Annual High Performance Computing Conference, Pages: 128-135, Toronto, Ontario, University of Toronto, June 1994.

Herbert H. J. Hum, Kevin B. Theobald, and Guang R. Gao, Building multithreaded architectures with off-the-shelf microprocessors, Proceedings of the 8th International Parallel Processing Symposium, Pages 288-294, Cancun, Mexico, IEEE Computer Society, April 1994.

Herbert H. J. Hum, Kevin B. Theobald, and Guang R. Gao, Building multithreaded architectures with off-the-shelf microprocessors, Proceedings of the 8th International Parallel Processing Symposium, Pages: 288-294, Cancun, Mexico, IEEE Computer Society, April 1994.

Guoning Liao, Erik R. Altman, Vinod K. Agarwal, and Guang R. Gao, A comparative study of DSP multiprocessor list scheduling heuristics, Proceedings of the 27th Annual Hawaii International Conference on System Sciences, Kihei, Hawaii, 1994.

Shashank Nemawarkar, Ramaswamy Govindarajan, Guang R. Gao, and Vinod K. Agarwal, Analysis of multithreaded multiprocessors with distributed shared memory, Proceedings of the Fifth IEEE Symposium on Parallel and Distributed Processing, Pages: 114-121, Dallas, Texas, December 1993.

Ramaswamy Govindarajan and Guang R. Gao,  A novel framework for multi-rate scheduling in DSP applications, Proceedings of the 1993 International Conference on Application Specific Array Processors, Pages: 77-88, Venice, Italy, IEEE Computer Society, October 1993.

Guang R. Gao, Vivek Sarkar, and Lelia A. Vazquez, Beyond the data parallel paradigm: Issues and options, Proceedings - 1993 Programming Models for Massively Parallel Computers, Pages: 191-197, Berlin, Germany, IEEE Computer Society Press, September 20-23, 1993.

Guang R. Gao, Qi Ning, and Vincent Van Dongen, Extending software pipelining techniques for scheduling nested loops, Proceedings of the 6th International Workshop on Languages and Compilers for Parallel Computing, number 768 in Lecture Notes in Computer Science, Pages: 340-357, Portland, Oregon, Springer-Verlag, August 1993.

Erik R. Altman, Vinod K. Agarwal, and Guang R Gao, A novel methodology using genetic algorithms for the design of caches and cache replacement policy,  Proceedings of the 5th International Conference on Genetic Algorithms, Pages: 392-399. Morgan Kaufmann Publishers, Inc., University of Illinois at Urbana-Champaign, July 1993.

Kevin B. Theobald, Guang R. Gao, and Laurei J. Hendren, Speculative execution and branch prediction on parallel machines, Conference Proceedings, 1993 ACM International Conference on Supercomputing, Pages: 77-86, Tokyo, Japan, July 1993.

Robert K. Yates and Guang R. Gao, A Kahn principle for networks of nonmonotonic real-time processes. Proceedings of PARLE ‘93 - Parallel Architectures and Languages Europe, number 694 in Lecture Notes in Computer Science, Pages: 209-227, Munich, Germany, Springer-Verlag, June 1993.

Herbert H. J. Hum and Guang R. Gao, Supporting a dynamic PMD model in a multi-threaded architecture, Digest of Papers, 38th IEEE Computer Society International Conference, COMPCON Spring ‘93, pp 165-174, San Francisco, California, February 1993.

Qi Ning and Guang R. Gao, A novel framework of register allocation for software pipelining, Conference Record of the Twentieth Annual ACM SIGPLAN-SIGACT Symposium on Principles of Programming Languages, pp 29-42, Charleston, South Carolina, January 1993.

Kevin B. Theobald, Guang R. Gao, and Laurie J. Hendren, On the limits of program parallelism and its smoothability, Proceedings of the 25th Annual International Symposium on Microarchitecture, Pages: 10-19, Portland, Oregon, December 1992.

Vincent Van Dongen, Guang R. Gao, and Qi Ning, A polynomial time method for optimal software pipelining, Proceedings of the Conference on Vector and Parallel Processing, CONPAR-92, number 634 in Lecture Notes in Computer Science, Pages: 613-624, Lyon, France, Springer-Verlag, September 1-4, 1992.

Jean Merc. Monti and Guang R Gao, Efficient interprocessor synchronization and communication on a dataflow multiprocessor architecture, Proceedings of 1992 International Conference on Parallel Processing, Pages: I-220-224, St. Charles, IL, August 1992.

Guang R Gao, Russell Olsen, Vivek Sarkar, and R. Thekkath, Collective loop fusion for array contraction, Proceedings of the 5th International Workshop on Languages and Compilers for Parallel Computing, number 757 in Lecture Notes in Computer Science, Pages: 281-295, New Haven, Connecticut, Springer-Verlag, August 1992.

Laurie J. Hendren, Chris Donawa, Maryam Emami, Guang R. Gao, Justiani, Bhama Sridharan, Designing the McCAT compiler based on a family of structured intermediate representations, Proceedings of the 5th International Workshop on Languages and Compilers for Parallel Computing, number 757 in Lecture Notes in Computer Science, Pages: 406-420, New Haven, Connecticut, Springer-Verlag, August 1992.

 

Journal and Conference Papers in Submission

Liu Yang, Sun Chan, Guang R.Gao, Roy Ju, Guei-Yuan Lueh, Zhaoqing Zhang, Inter-Procedural Stacked Register Allocation for Itanium Like Architecture, Proceedings of ACM SIGARCH International Conference of Supercomputing, San Francisco, June 23 - 26, 2003.

Parimala Thulasiraman, Ashfaq A. Khokhar and Guang R. Gao, Fine Grained Multithreaded Algorithms for the Single Source Shortest Path Computations, submitted to IEEE Trans. on Parallel and Distributed Systems.

 "Theory of Modulo Scheudling" accpted in the Design Automation for Embedded Systems (DAEM) journal (Kluwer Pub., Editor-in-Chief: Raul Camposano (Synopsys Inc., CA, USA) and Wayne Wolf (Dept. of Electrical Engineering, Princeton University, NJ, USA)).

Parimala Thulasiraman, Kevin B. Theobald, Ashfaq A. Khokhar and Guang R. Gao, Efficient Multithreaded Algorithms for the Fast Fourier Transform, Parallel and Distributed Computing Practices.

Monographs, Books and Book Chapters

Guang R. Gao., J-L. Gaudiot, and L. Bic, editors, Advanced Topics in Dataflow and Multithreaded Computers. IEEE Computer Society Press, 1995.

Jack B. Dennis and Guang R. Gao, Multithreaded architectures: Principles, projects, and issues, In Robert A. Iannucci, Guang R. Gao, Robert H. Halstead, Jr., and Burton Smith, editors, Multithreaded Computer Architecture: A Summary of the State of the Art, chapter 1, Pages: 1-72. Kluwer Academic Publishers, Norwell, Massachusetts, 1994.

Robert A. Iannucci, Guang R. Gao, Robert H. Halstead, Jr., and Burton Smith, editors, Multi-threaded Computer Architecture: A Summary of the State of the Art. Kluwer Academic Publishers, Norwell, Massachusetts, 1994. Book contains papers presented at the Workshop on Multithreaded Computers, Albuquerque, New Mexico, November 1991.

Guang R. Gao, A Code Mapping Scheme for Dataflow Software Pipelining, Kluwer Academic Publishers, Boston, Massachusetts, December 1990.


Section C: Services

C.1: UNIVERSITY ACTIVITIES AND SERVICES

Special Activities:

·  Attended recruiting activities of new faculty members the tenure review of Prof. Dan Van Weile, Prof. Paul Berger

·  Participating faculty retreat meeting (1998)

·  Dean's ad hoc group for supercomputing (1998)

·  Participate Engineering Outreach program

·  An advisor in the university Undergraduate Research Opportunity program

·  Departmental and College Committees

·  Chairing the departmental Committee on Promotion & Tenure (1998)

·  College Election Committee (1998)

·  PhD exam revision committee (2001-)

·  Ad Committee of Educational in Bioinformatics

·  University Committees

·  ICRSS committee (Instructional, Computing and Research Support Services Committee)

 

C.2: PROFESSIONAL SERVICES

·IEEE Computer Society Distinguished Visitor, 1998-2001

·IEEE, Senior Member (since 1997)

·Invited Seminars and Distinguished Seminars

 

Others

·Panelist

·Session chair

·Organization/steering committee member

·Advisory board member for many recognized professional conferences (details to be provided upon request).